ModelSim的使用 您所在的位置:网站首页 modelsim 使用教程 ModelSim的使用

ModelSim的使用

#ModelSim的使用| 来源: 网络整理| 查看: 265

1、打开ModelSim软件

    打开ModelSim软件:

1、建立工程

在modelsim中建立project,选择File->New->Project,如下图所示:

跳出以下弹窗:

在“ Project Name”栏中填写工程名,这里的命名方式,,我们看到这个工程名,就能够知道它是用来做什么的了。这里我们把工程命名为“ decoder”,其中“ Project Location”是工程路径,可以根据需要把工程保存到不同的位置。如我选择在软件安装的新建了一个文件夹,也可以选择默认位置。下面这两部分是用来设置仿真库名称和路径的,这里我们使用默认即可。设置好工程名、工程位置,我们点击【 OK】按钮,弹出如下图所示界面:

我们可以从该图的选择窗口中看出,它共有四种操作:

Create New File(创建新文件)、Add Existing File(添加已有文件)、

Create Simulation(创建仿真)和 Create New Folder(创建新文件夹)。

这里我们先选择“ Create New  File”(添加已有文件),如下图所示:

此时可以看到,两个文件“ decoder.v”和“ decoder_tb.v” 添加至我们的ModelSim仿真工程中,如下图所示:

双击后进行代码写入:

2、写入代码

编译完成后结果如下图:

文件编译后“ Status”列可能会有三个不同状态。除了以上的“√”表示的通过状态外,还有两个可能出现的状态:如编译错误(显示红色的“×”)及包含警告的编译通过(有一个黄色的三角符号)。编译错误即Modelsim无法完成文件的编译工作。通常是由于代码中存在语法错误,如需解决问题则在左下角的”Transcrpt“中的红色显示"error"进行双击寻找具体错误

接下来我们在Simulate标签页面中选择Start Simulation ,如下图所示。

点击Library中的deconder_tb的Simulate

出现下图并添加输入信号:

将信号全部改为二进制

点击此处:Run-All 

其中的仿真时间调为100ns

运行后的结果如下图所示:



【本文地址】

公司简介

联系我们

今日新闻

    推荐新闻

      专题文章
        CopyRight 2018-2019 实验室设备网 版权所有